VHDL

Från Rilpedia

Hoppa till: navigering, sök
Wikipedia_letter_w.pngTexten från svenska WikipediaWikipedialogo_12pt.gif
rpsv.header.diskuteraikon2.gif

VHDL, VHSIC (Very High Speed Integrated Circuit) Hardware Description Language.

Att VHDL är ett hårdvarubeskrivande språk betyder att det liksom Verilog är ett programspråk som används för att beskriva digitala kretsar som sedan kan realiseras i en grindmatris eller ASIC. En stor skillnad mellan hårdvarubeskrivande språk och konventionella programspråk är att exekvering av programblock kan ske parallellt eller sekventiellt.

Fördelen med att konstruera kretsar med hjälp av ett språk är att det är lättare att simulera och sedan realisera än att räkna på allting för hand. Det är också lättare att beskriva en funktion i ett chip i stället för dess logiska struktur och låta en kompilator räkna fram ett optimalt grindnät.

VHDL lånar många element i sin syntax från Ada.

Innehåll

Historia

VHDL utvecklades 1980 av IBM, Texas Instrument och Intermetrics kontrakterade av det amerikanska försvaret. VHDL har kommit ut i ett antal nya versioner sen dess och idag vidareutvecklas programspråket under IEEE Computer Society som en IEEE standard. VHDL Analysis and Standards Group (http://www.eda.org/vasg/ [VASG]) håller i den utvecklingen.

Programexempel

D-vippa

Följande exempel är en D-vippa med synkroniserad reset som sparar en databit:

--  VHDL exempel program: DFlipFlop.vhd
  library IEEE;
  use IEEE.std_logic_1164.all;
  entity DFlipFlop is
     port (
        CLK : in STD_LOGIC;
        RST : in STD_LOGIC;
          D : in STD_LOGIC;
          Q : out STD_LOGIC;
     );
  end DFlipFlop;

  architecture behaviour of DFlipFlop is
  begin
      process(CLK)
      begin
         if rising_edge(CLK) then
              if RST = '1' then
                 Q <= '0';
              else
                 Q <= D;
              end if;
         end if;             
      end process;
  end behaviour;

Se även

Externa länkar

Personliga verktyg